vhdl code for GIF Image Viewer

源代码在线查看: mux2.vhd

软件大小: 185 K
上传用户: sky20090313
关键词: Viewer Image vhdl code
下载地址: 免注册下载 普通下载 VIP

相关代码

				library IEEE;
				use IEEE.STD_LOGIC_1164.ALL;
				use IEEE.STD_LOGIC_ARITH.ALL;
				use IEEE.STD_LOGIC_UNSIGNED.ALL;
				
				entity mux2 is
					 Generic ( size : integer := 8 );
				    Port ( d0 : in std_logic_vector(size-1 downto 0);
				           d1 : in std_logic_vector(size-1 downto 0);
				           s : in std_logic;
				           o : out std_logic_vector(size-1 downto 0));
				end mux2;
				
				architecture Behavioral of mux2 is
					signal ss : std_logic_vector(size-1 downto 0);
				begin
				
				ss  s);
				o 				
				end Behavioral;
							

相关资源