异步FIFO模块:
module asynfifo(rst,iclk,oclk,din,wren,rden,dout,full,empty)
异步FIFO的tenchbench:
module
源代码在线查看: tb_asynfifo.v
`timescale 1ns/1ns `include "asynfifo.v" module tb_asynfifo; reg rst; reg iclk; reg oclk; reg [7:0] din; wire [7:0] dout; wire full; wire empty; wire wren; wire rden; integer fh; integer wh; //initiation asynfifo T_asynfifo( .rst(rst), .iclk(iclk), .oclk(oclk), .din (din) , .wren(wren), .rden(rden), .dout(dout), .full(full), .empty(empty) ); //enable assign wren = 1; assign rden = 1; //rst initial begin rst = 1; #50 rst = 0; end //iclk initial iclk = 0; always #5 iclk = ~iclk; //oclk initial oclk = 0; always #10 oclk = ~oclk; //file initiation initial fh=$fopen("asynfifo.v","r"); initial wh=$fopen("des.bak","w"); //din always @(negedge iclk) begin wait (rst==0); if (wren&&!full) din = $fgetc(fh); end //dout always @(negedge oclk) begin wait(rst==0); if (dout==8'hFF) begin $fclose(fh); $fclose(wh); $finish; end if (rden&&!empty) $fwrite(wh,"%c",dout); end endmodule
|
相关资源 |
|
-
异步FIFO模块:
module asynfifo(rst,iclk,oclk,din,wren,rden,dout,full,empty)
异步FIFO的tenchbench:
module
-
第1学时Perl 入门
第2学时Perl 的基本构件:数字和字符串
第3学时控制程序流
第4学时基本构件的堆栈:列表与数组
第5学时进行文件操作
第6学时模式匹配
第7学时哈希结构
第8
-
MSP430 44X系列的异步通信模块操作代码
-
c#的小例子:用到的知识点:
* c#编程基础
* IO流
* 读取HTTP响应
* 多线程异步GUI的使用
* 使用“委派”实现“监听-观察者”模式
-
您在开发gsm模块短信功能的时候是否遇到过这样的问题:智能发英文不能发中文?其实是英文默认的模式是ascii编码
-
、本实战的目的是让大家熟悉ADC模块的功能以及AD转换的方法
2、项目实现的功能:从芯片RA0输入一个可以随时变化的模拟量(通过调节DEMO板VR1实现)
则单片机就能够及时地把该模拟量进行模
-
多用户 :usr1, …, usr8 (1-8个用户)
多级目录:可有多级子目录;
具有login (用户登录)
系统初始化(建文件卷、提供登录模块)
文件的创建: create
文件的打开
-
本系统中包含如下6大功能模块:
前台服务
后台管理
销售统计
系统安全
人员管理
管理员用户名和密码为:mr、mrsoft
|