[State Storage]
Control Register=53004
[Sequencer]
Control Register=53004
NextState0=53004
NextState1=53004
[Action Register]
Break=1
State Storage=1
[DisAssemblyWindow]
NumStates=_ 1
State
-- MAX+plus II VHDL Template
-- Clearable flipflop with enable
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY contrOl IS
PORT
(
clk : IN STD_LO
Project Information d:\frudh\control.rpt
MAX+plus II Compiler Report File
Version 10.0 9/14/2000
Compiled: 06/08/2008 20:24:25
Copyright (C) 1988-2000 Alt
library ieee;
use ieee.std_logic_1164.all;
use work.state_pack.all;
entity control is
port(A,B,C,D,E,F,G,CLK : in std_logic;
H,I,J,K,L,M : out std_logic);
end co
Library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
ENTITY control IS
PORT(reset: IN STD_LOGIC; --system.reset.signal
bege
; CLW file contains information for the MFC ClassWizard
[General Info]
Version=1
ClassCount=1
Class1=CControlApp
LastClass=CControlApp
NewFileInclude2=#include "Control.h"
ResourceCount=0
Ne
//
// CONTROL.RC2 - resources Microsoft Visual C++ does not edit directly
//
#ifdef APSTUDIO_INVOKED
#error this file is not editable by Microsoft Visual C++
#endif //APSTUDIO_INVOKED
///