verilog代码集锦.rar

源代码在线查看: test.v

软件大小: 37 K
上传用户: soft0318
关键词: verilog 代码 集锦
下载地址: 免注册下载 普通下载 VIP

相关代码

				module Test;				reg clock,updn;				wire[0:3]cnt_out;								countupdown c1(clock,cnt_out,updn);				always #1 clock=~clock;								initial begin				    clock=0;				   updn=0;				   #50 updn=1;				   #100 $dumpflush;				   $stop;				end								initial begin				   $dumpfile("count.dump");				   $dumplimit(4096);				   $dumpvars(0,Test);				   $dumpvars(0,c1.count,c1.clk,c1.up_down);				end				endmodule			

相关资源