一个简单的交织实现程序

源代码在线查看: source.vhd

软件大小: 1844 K
上传用户: feitiandy001
关键词: 程序
下载地址: 免注册下载 普通下载 VIP

相关代码

				library ieee;
				use ieee.std_logic_arith.all;
				use ieee.std_logic_1164.all;
				use ieee.std_logic_unsigned.all;
				
				entity source is
				
				port(clk:in std_logic:='0';
				     dataout:out std_logic
				    );
				end;
				architecture a of source is
				signal data:std_logic_vector(0 to 15);
				begin
				data				process(clk)
				variable m:integer range 0 to 15;
				variable n:integer range 0 to 15;
				begin
				 if(clk'event and clk='1') then  
				     n:=m mod 16 ;
				     dataout				    m:=m+1;
				 end if;
				end process;
				end ;			

相关资源