DDS知识解析

源代码在线查看: rom.v

软件大小: 1994 K
上传用户: ilovexzhu
关键词: DDS
下载地址: 免注册下载 普通下载 VIP

相关代码

				// megafunction wizard: %ROM: 1-PORT%
				// GENERATION: STANDARD
				// VERSION: WM1.0
				// MODULE: altsyncram 
				
				// ============================================================
				// File Name: ROM.v
				// Megafunction Name(s):
				// 			altsyncram
				//
				// Simulation Library Files(s):
				// 			altera_mf
				// ============================================================
				// ************************************************************
				// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
				//
				// 8.1 Build 163 10/28/2008 SJ Full Version
				// ************************************************************
				
				
				//Copyright (C) 1991-2008 Altera Corporation
				//Your use of Altera Corporation's design tools, logic functions 
				//and other software and tools, and its AMPP partner logic 
				//functions, and any output files from any of the foregoing 
				//(including device programming or simulation files), and any 
				//associated documentation or information are expressly subject 
				//to the terms and conditions of the Altera Program License 
				//Subscription Agreement, Altera MegaCore Function License 
				//Agreement, or other applicable license agreement, including, 
				//without limitation, that your use is for the sole purpose of 
				//programming logic devices manufactured by Altera and sold by 
				//Altera or its authorized distributors.  Please refer to the 
				//applicable agreement for further details.
				
				
				// synopsys translate_off
				`timescale 1 ps / 1 ps
				// synopsys translate_on
				module ROM (
					address,
					clock,
					q);
				
					input	[9:0]  address;
					input	  clock;
					output	[11:0]  q;
				
					wire [11:0] sub_wire0;
					wire [11:0] q = sub_wire0[11:0];
				
					altsyncram	altsyncram_component (
								.clock0 (clock),
								.address_a (address),
								.q_a (sub_wire0),
								.aclr0 (1'b0),
								.aclr1 (1'b0),
								.address_b (1'b1),
								.addressstall_a (1'b0),
								.addressstall_b (1'b0),
								.byteena_a (1'b1),
								.byteena_b (1'b1),
								.clock1 (1'b1),
								.clocken0 (1'b1),
								.clocken1 (1'b1),
								.clocken2 (1'b1),
								.clocken3 (1'b1),
								.data_a ({12{1'b1}}),
								.data_b (1'b1),
								.eccstatus (),
								.q_b (),
								.rden_a (1'b1),
								.rden_b (1'b1),
								.wren_a (1'b0),
								.wren_b (1'b0));
					defparam
						altsyncram_component.address_aclr_a = "NONE",
				`ifdef NO_PLI
						altsyncram_component.init_file = "sin1024.rif"
				`else
						altsyncram_component.init_file = "sin1024.hex"
				`endif
				,
						altsyncram_component.intended_device_family = "Cyclone",
						altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO",
						altsyncram_component.lpm_type = "altsyncram",
						altsyncram_component.numwords_a = 1024,
						altsyncram_component.operation_mode = "ROM",
						altsyncram_component.outdata_aclr_a = "NONE",
						altsyncram_component.outdata_reg_a = "CLOCK0",
						altsyncram_component.widthad_a = 10,
						altsyncram_component.width_a = 12,
						altsyncram_component.width_byteena_a = 1;
				
				
				endmodule
				
				// ============================================================
				// CNX file retrieval info
				// ============================================================
				// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
				// Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
				// Retrieval info: PRIVATE: AclrByte NUMERIC "0"
				// Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
				// Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
				// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
				// Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
				// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
				// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
				// Retrieval info: PRIVATE: Clken NUMERIC "0"
				// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
				// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
				// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
				// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
				// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
				// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
				// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
				// Retrieval info: PRIVATE: MIFfilename STRING "sin1024.hex"
				// Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "1024"
				// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
				// Retrieval info: PRIVATE: RegAddr NUMERIC "1"
				// Retrieval info: PRIVATE: RegOutput NUMERIC "1"
				// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
				// Retrieval info: PRIVATE: SingleClock NUMERIC "1"
				// Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
				// Retrieval info: PRIVATE: WidthAddr NUMERIC "10"
				// Retrieval info: PRIVATE: WidthData NUMERIC "12"
				// Retrieval info: PRIVATE: rden NUMERIC "0"
				// Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
				// Retrieval info: CONSTANT: INIT_FILE STRING "sin1024.hex"
				// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
				// Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO"
				// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
				// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024"
				// Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
				// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
				// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0"
				// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10"
				// Retrieval info: CONSTANT: WIDTH_A NUMERIC "12"
				// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
				// Retrieval info: USED_PORT: address 0 0 10 0 INPUT NODEFVAL address[9..0]
				// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
				// Retrieval info: USED_PORT: q 0 0 12 0 OUTPUT NODEFVAL q[11..0]
				// Retrieval info: CONNECT: @address_a 0 0 10 0 address 0 0 10 0
				// Retrieval info: CONNECT: q 0 0 12 0 @q_a 0 0 12 0
				// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
				// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
				// Retrieval info: GEN_FILE: TYPE_NORMAL ROM.v TRUE
				// Retrieval info: GEN_FILE: TYPE_NORMAL ROM.inc FALSE
				// Retrieval info: GEN_FILE: TYPE_NORMAL ROM.cmp FALSE
				// Retrieval info: GEN_FILE: TYPE_NORMAL ROM.bsf TRUE FALSE
				// Retrieval info: GEN_FILE: TYPE_NORMAL ROM_inst.v FALSE
				// Retrieval info: GEN_FILE: TYPE_NORMAL ROM_bb.v TRUE
				// Retrieval info: GEN_FILE: TYPE_NORMAL ROM_waveforms.html TRUE
				// Retrieval info: GEN_FILE: TYPE_NORMAL ROM_wave*.jpg FALSE
				// Retrieval info: LIB_FILE: altera_mf
							

相关资源