我的课程设计

源代码在线查看: div.vhd

软件大小: 191 K
上传用户: likefunv
关键词:
下载地址: 免注册下载 普通下载 VIP

相关代码

				library ieee;
				use ieee.std_logic_arith.all;
				use ieee.std_logic_1164.all;
				use ieee.std_logic_unsigned.all;
				
				entity div is
				 port(clk:in std_logic;
						clk_out:inout std_logic
						);
				end div;
				
				architecture behave of div is
				signal div1,div2,div3:std_logic;
				
				component div16
				port(clk:in std_logic;
						clk_div:inout std_logic
						);
				end component;
				
				component div8
				port(clk:in std_logic;
						clk_div:inout std_logic
						);
				end component;
				
				
				
				 begin
				  
				
				u0:div16
					port map(clk,div1);
				u1:div16
					port map(div1,div2);
				u2:div16
					port map(div2,div3);
				u1:div8
					port map(div3,clk_out);
				
				
				end behave;			

相关资源