基本交通系统,实现城市交通路口的模拟仿真

源代码在线查看: js.vhd

软件大小: 360 K
上传用户: dragoncircle
关键词: 交通系统 城市 交通路口 仿真
下载地址: 免注册下载 普通下载 VIP

相关代码

				library ieee;
				use ieee.std_logic_1164.all;
				use ieee.std_logic_arith.all;
				use ieee.std_logic_unsigned.all;
				
				entity js is
				port(clk:in std_logic;
				led1,led10a:out std_logic_vector(0 to 3));
				end js;
				
				architecture stl of js is
				signal ledd1:std_logic_vector(0 to 3) :="1001";
				signal ledd10:std_logic_vector(0 to 3) :="0011";
				begin
				a1:process(clk)
				begin
				
				
				if(clk='1' and clk'event)then 
				 if(ledd1="0000")then
				   ledd1				 else ledd1				 end if;
				end if;
				 end process a1;
				
				a2:process(clk,ledd1)
				begin
				if(clk='1' and clk'event)then 
				 if(ledd1="0000")then
				 if(ledd10="0000")then
				    ledd10				 else ledd10				 end if;
				 end if;
				end if;
				end process a2;
				led1				end stl;
				
				
							

相关资源