library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity js is
port(clk:in std_logic;
led1,led10a:out std_logic_vector(0 to 3));
end js;
architecture stl of js is
signal ledd1:std_logic_vector(0 to 3) :="1001";
signal ledd10:std_logic_vector(0 to 3) :="0011";
begin
a1:process(clk)
begin
if(clk='1' and clk'event)then
if(ledd1="0000")then
ledd1 else ledd1 end if;
end if;
end process a1;
a2:process(clk,ledd1)
begin
if(clk='1' and clk'event)then
if(ledd1="0000")then
if(ledd10="0000")then
ledd10 else ledd10 end if;
end if;
end if;
end process a2;
led1 end stl;