SDRAM 控制器
源代码在线查看: _info
m255 13 cModel Technology dD:\ipcores\sdram_controller\simulation va_graycounter Ib`VXN_T`jFf3eXW`DZS2j1 V[Zm=ERVi?91fb_h71FEbF0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 27485 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valt3pram I@_?5`BUMi1Rm[MCdmgT]^2 VeL6NCfK]YAX6 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 24005 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valt_exc_dpram IfWHNOZ VEJWFTNa>K:3_IG7J3EU6k0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 27562 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valt_exc_upcore I`C]?=CZ^PI2k6:SC VJ_nPeA0^dmRYGBfeenO0Y2 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 27863 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtaccumulate IZTNKYJ5F6]lDZh>7TAWAE1 V9Ul5 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 299 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtcam Ink6OB@P[oMFMPf?[eONCl0 V9a;2KjAi:fL36i^IZ@2M33 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 19826 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtcdr_rx I4[@Jn:7gFJkJ0?n7XfoM53 VU`1liGA=@7nTdng1Ym9GG3 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 16157 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtcdr_tx IcK_7Cf@=>LLi[5oXdGo?X3 V9Q dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 16778 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtclklock InXAhSG;QAJ^89M1`LkJ];1 Vhi dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 6066 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtddio_bidir IXF9Qz@z`Z9LXzTB40QHW`0 VoZG2X2QQm:dClVLFZ]Y6W0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 7350 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtddio_in IgnOF@S4lV5HaS2nz1eJHb2 Vfb5OHh3 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 6976 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtddio_out I?0aj35F?M5OO25?`dH8:I0 Vg>bDj4BFa[DCUSLQ^V1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 7138 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtdpram IS6QD[ V>kNJ1]2R^FkShYhC0UKgg0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 22401 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vALTERA_DEVICE_FAMILIES IkMM9Mh?DX4SooGgV@E_?W2 VQ9;YfM0O8T dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 91 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 n@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s valtfp_mult Io>CARciRT?RfLcNhCA]WG1 V:jm5Ubj8A3cFgJZb43na>1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 5176 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtlvds_rx I0fd[a4iK V[>E70YLAB61i13l69dhWQ2 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 17325 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtlvds_tx Ie7GUVGM@DB>H5>_2baELQ3 VGM_Ze5PP6djgYYEZj@;Z22 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 18925 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtmult_accum INKjgiFh7EkORnL^E44Oc02 V>_oVU8zGA]7;U0FUP]TP@2 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 548 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtmult_add I^1nFJH_doCEn0BN6Na6Y43 VYe9EC^KOecHlB]GZzQSBo0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 2355 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtpll I7L1[6]mUnTAJTX0hVBDdQ2 VN:fmRnm8A3D:ZiX:=[^NC3 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 14068 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtqpram IPiElI@ec7Y>ODki_IHgLo0 Vn2X^JLc_lzd9RSl01 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 24665 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtshift_taps I?L@54IATm^U0=_E7m:g_@1 V5;Gz9?MS>FPGR4`elbXQ52 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 27384 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtsqrt IoNS9LU]EQj4mSTX[d30k43 VBV3>bZnYUS9WHCPheVOgW2 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 5854 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 valtsyncram IBAD8km>2YQ3a VlkD1IA3Om0>GF04z290zG0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 22731 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 varm_m_cntr I8P?U;OoYQcfAjRkziKdgb1 VnZ7bTfk5X@6QmGaNoIOHO1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 7778 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 varm_n_cntr IoUcaC V@_zlT2DBKV43W5lIQ08O:0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 7856 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 varm_scale_cntr IA[13ZXFZa5J6]ZAeLKR0:2 VXREJKCNbUEi[38_3KRk5Y1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 7928 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vcarry I4fkUaZ7ZjBmm=C8Y92CUe1 VI2hZ[c0BXLj;RMfz_`dDM3 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 53 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vcarry_sum I V@[n7HThh1Q[jOYY4]]nYG0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 69 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vcascade IH0Jo=9CCHYz1maMh`]>dC0 V;Vo8489M4 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 61 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vcommand IzMSz?GzhSaGNkHP0fJTCE3 V3RLDj=mJ^Mohf;2__RXXz3 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1119072676 FE:/code/ep1c20_fudan/S18_sdram_control/sim/Command.v Fparams.v L0 20 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vcontrol_interface If`:;bFSgGV4NzZ6_G V1>cKQO@KnFB6eKoJH7Ajh0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1118759186 FE:/code/ep1c20_fudan/S18_sdram_control/sim/control_interface.v FParams.v L0 20 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vdcfifo IWlP VLb5Rj@36WKJOmoM@0M>Wj0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 27240 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vdcfifo_async ICU^NT]V6>;0LD V^DY3YJTnMU3=^_gac;AI=1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 26557 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vdcfifo_dffpipe IY:>U0T=0joEHb;aHbLIF>2 V5oD^gL3I[RhLlE`]D9m4^2 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 26327 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vdcfifo_fefifo I[V?DliBdgRk=BHNzVNEH92 V`z7m6ThEgzU5BllSDblSG3 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 26413 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vdcfifo_sync IKT?g0hc0B35Fg9ZIfzWXk0 V[dVnCn7`FK;`DOzPIgIl01 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 26932 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vdffp I6zJDH9JQNcDzE:XJ=NeZK3 VXmBPS_`4`eL@]B5:5aCEQ3 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 7466 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vexp IKXQc>l[X>MiBW1>dfg>@m0 V=OIK>_914M0Zli;G9>31j2 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 80 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vglobal IR>:UJ:V=;YYi]>m[dNVEk1 VACN1f3dSQ@C3130 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 45 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vhssi_fifo I:j8Khd9XMfG?h:TE;:[531 VKoi46Beo1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 15605 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vhssi_pll I]CkBDJ`DA9hFQP6oIBTEP1 VHn2idKnMV[WIMbT1SSEn42 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 14973 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vhssi_rx IE0>2 V dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 15833 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vhssi_tx IgNdOQ[o2N790 V06h>hE6P?n6HMVij3Dec52 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 16031 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vlcell I@_E VJ?L2VaGMcgJV7T`@^5DkR1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 37 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vMF_pll_reg I_7HIg_9K3P2bn5OeD>QOU1 VNCKUEDQ^OBUgncngA dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 8041 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 n@m@f_pll_reg vMF_ram7x20_syn Ih5Kch0AE6Ib?azAMNEVbK0 VZ[HJ1VI9PREnL2NnHz4ST0 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 15460 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 n@m@f_ram7x20_syn vMF_stratix_pll I@70IGzcK6:_N6cjARCUmV2 VS:Y?o]LYJ_dBXReAk7 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 8102 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 n@m@f_stratix_pll vMF_stratixii_pll IX`6]PMDFF64`DaX VMab9FaRLFIfJ_Fj8?;n:00 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 11499 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 n@m@f_stratixii_pll vmt48lc2m32b2 IKo_72K=LK2IB02NinZfbi2 VEJ`Dd[>;F;YXC95@eP;;k3 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1094182008 FE:/code/ep1c20_fudan/S18_sdram_control/sim/mt48lc2m32b2.v L0 41 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vparallel_add In=^7[A V6CPA0HOgmQD6>N6Wz]c461 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 25768 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vscfifo IIf_mJAgfE`L1NK=1311gQ2 VomUTG6_M30GdhH9R6Rn0F1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 25940 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vsdr_data_path ILg9jn^fB=i]JGWMW?87:L3 VS:TB21P=0=2iMZ1E3?UZK1 dD:\ipcores\sdram_control\sim w1118759186 FD:/ipcores/sdram_control/src/sdr_data_path.v Fparams.v L0 19 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vsdr_sdram IZXkT_KTVn`35 VZlVAgoH=Rb]mDE dD:\ipcores\sdram_control\sim w1118761000 FD:/ipcores/sdram_control/src/sdr_sdram.v Fparams.v L0 20 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vsdram_test_tb Ia98G=Jo;>;gIQ>6]oN5S31 V2O[m9SMBF:YiTbebGOB`62 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1134818976 FE:/code/ep1c20_fudan/S18_sdram_control/sim/sdram_test_tb.v L0 2 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vstratix_lvds_rx I3?eMMjMa@oX_J`n VX2Hz;l`7[k2TmMZ0CN9?V3 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 18064 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vstratixgx_dpa_lvds_rx IRiWJo_Q0FW89oklfTQ[2=3 VMD9^4YD2:0U708FMDY3Wl2 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 18214 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vstratixii_lvds_rx I32Ki VVY@A?IUf>YCT_f498OQmb1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 18576 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vstratixii_tx_outclk I[djnFND9:1kba:9boaCC=2 VS6BTZJ^6o@QHSbSXNaRD@2 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 19719 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vstx_m_cntr IFmcze=nfEa8ToflmbcFU51 V:[2:D[_10ghn[bgc=CD302 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 7494 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vstx_n_cntr IVVNSjDETC?1T3SgY V4CiQB5Uz?;=OULO:MD>Eo1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 7576 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000 vstx_scale_cntr IHObaf:knI82 VG8KIUm2mDHoX1DjR8TDdJ1 dE:\code\ep1c20_fudan\S18_sdram_control\sim w1101632846 FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v L0 7657 OE;L;6.0;29 r1 31 o-work work tGenerateLoopIterationMax 100000