Release 6.2i - Bitgen G.28
Copyright (c) 1995-2004 Xilinx, Inc. All rights reserved.
Loading device database for application Bitgen from file "top.ncd".
"top" is an NCD, version 2.38, device xc3s
Release 6.2i Map G.28
Xilinx Mapping Report File for Design 'top'
Design Information
------------------
Command Line : D:/install/Xilinx/bin/nt/map.exe -intstyle ise -p
xc3s400-pq208-4 -cm area -p
Release 6.2i Par G.28
Copyright (c) 1995-2004 Xilinx, Inc. All rights reserved.
CAOLIU:: Tue Dec 05 11:55:46 2006
D:/install/Xilinx/bin/nt/par.exe -w -intstyle ise -ol std -t 1 top_map.ncd
top.n
set -tmpdir __projnav
set -xsthdpdir ./xst
run
-ifn top.prj
-ifmt mixed
-ofn top
-ofmt NGC
-p xc3s400-4-pq208
-top top
-opt_mode Speed
-opt_level 1
-iuc NO
-lso top.lso
-keep_hierarchy NO
-- Top2.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.NUMERIC_BIT.all;
use ieee.STD_LOGIC_ARITH.all;
entity TOP is
port(CLK : in std_logic;