Analysis & Synthesis Status : Successful - Sat Aug 25 16:02:47 2007
Quartus II Version : 6.0 Build 178 04/27/2006 SJ Full Version
Revision Name : div
Top-level Entity Name : div
Family : MAX II
T
Flow report for div
Sat Aug 25 16:03:04 2007
Version 6.0 Build 178 04/27/2006 SJ Full Version
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. Flow S
Analysis & Synthesis Status : Successful - Sat Aug 25 16:02:47 2007
Quartus II Version : 6.0 Build 178 04/27/2006 SJ Full Version
Revision Name : div
Top-level Entity Name : div
Family : MAX II
T
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity div is
generic(duty:integer:=5);
port(clk: in std_logic;
q: out std_logic
Simulator report for div
Wed Apr 01 08:44:12 2009
Quartus II Version 7.0 Build 33 02/05/2007 SJ Full Version
---------------------
; Table of Contents ;
---------------------
1. Legal Noti
Fitter Status : Successful - Wed Apr 01 08:37:33 2009
Quartus II Version : 7.0 Build 33 02/05/2007 SJ Full Version
Revision Name : div
Top-level Entity Name : div
Family : MAX II
Device : EPM240F