library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use work.mydefine.all;
entity control is
port(c_en:in std_logic;
input:in std_logic_vector(7 downto 0);
clk:in std_
/*
* @(#)Control.java 1.7 02/07/24 @(#)
*
* Copyright (c) 2002 Sun Microsystems, Inc. All rights reserved.
* PROPRIETARY/CONFIDENTIAL
* Use is subject to license terms.
*/
package javax.microe
package english;
import java.awt.*;
import javax.swing.*;
import java.awt.Dimension;
import com.borland.jbcl.layout.BoxLayout2;
public class Control extends JPanel {
JSlider jSlider1 = n
package english;
import java.awt.*;
import javax.swing.*;
public class Control extends JPanel {
BorderLayout borderLayout1 = new BorderLayout();
public Control() {
try {