-
// A control is an invisible window, probably catching keypresses
class Control : public Window
{
public:
Control(Window *_parent) : Window (_parent,0,0)
{
show(false);
};
};
http://www.codebf.com/read/100265/15879354
-
---------------------------------------------------
-- Module Run Processor TS Control
-- Feburary 21, 1999
-- "Copyright (c) 2001 Altium Limited"
-------------------------------------------------
http://www.codebf.com/read/2280/17079
-
LCANET,5
PROG, synthx:pre5.0.0y:94/04/21, synthx:pre5.0.0y:94/04/21, "Created from control.bl1 on 1994/05/01 00:11:05"
PROG,IMPROVEX,pre5.0.0y:, created from model xnf\control.xnf
PWR, 1, VCC
PWR,
http://www.codebf.com/read/12574/243483
-
LCANET,5
PROG, synthx:pre5.0.0y:94/04/21, synthx:pre5.0.0y:94/04/21, "Created from control.bl1 on 1994/05/01 23:24:21"
PROG,IMPROVEX,pre5.0.0y:, created from model xnf\control.xnf
PWR, 1, VCC
PWR,
http://www.codebf.com/read/12574/244615
-
LCANET,5
PROG, synthx:pre5.0.0y:94/04/21, synthx:pre5.0.0y:94/04/21, "Created from control.bl1 on 1994/05/01 23:28:43"
PROG,IMPROVEX,pre5.0.0y:, created from model xnf\control.xnf
PWR, 1, VCC
PWR,
http://www.codebf.com/read/12574/245151
-
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use work.mydefine.all;
entity control is
port(c_en:in std_logic;
input:in std_logic_vector(7 downto 0);
clk:in std_
http://www.codebf.com/read/14792/410598
-
LCANET,5
PROG, synthx:pre5.0.0y:94/04/21, synthx:pre5.0.0y:94/04/21, "Created from control.bl1 on 1994/05/01 00:11:05"
PROG,IMPROVEX,pre5.0.0y:, created from model xnf\control.xnf
PWR, 1, VCC
PWR,
http://www.codebf.com/read/15229/436229
-
LCANET,5
PROG, synthx:pre5.0.0y:94/04/21, synthx:pre5.0.0y:94/04/21, "Created from control.bl1 on 1994/05/01 23:24:21"
PROG,IMPROVEX,pre5.0.0y:, created from model xnf\control.xnf
PWR, 1, VCC
PWR,
http://www.codebf.com/read/15229/437530
-
LCANET,5
PROG, synthx:pre5.0.0y:94/04/21, synthx:pre5.0.0y:94/04/21, "Created from control.bl1 on 1994/05/01 23:28:43"
PROG,IMPROVEX,pre5.0.0y:, created from model xnf\control.xnf
PWR, 1, VCC
PWR,
http://www.codebf.com/read/15229/438079
-
Fitter report for control
Wed Oct 10 23:31:50 2007
Version 6.0 Build 178 04/27/2006 SJ Full Version
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2.
http://www.codebf.com/read/17522/733039