/**
* \file control.h
* \author Wei Yongming
* \date 2001/12/29
*
* This file includes interfaces of standard controls of MiniGUI.
*
\verbatim
Copyright (C) 1998-2002 W
package com.javapatterns.command.television;
public class Control
{
/**
* @directed
*/
private Command onCommand, offCommand, changeChannel;
public Control(Command o
module control(clk1,cnt_for_mux,cnt,clk_488,rst,frame_clk);
output clk1; //as the clk of mux and demux
output [4:0] cnt_for_mux; //use cnt_for_mux to control the mux,demux
output [2:0] cnt
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity control is
port( clk:in std_logic;
clr:in std_logic;
kin:in std_logic;
catch_o:out std_logic_vecto
library ieee;
use ieee.std_logic_1164.all;
entity control is
port(clkin: in std_logic;
ena_cnt: out std_logic;
clr_cnt: out std_logic;
load: out std_logic);
end entity;
architecture