This library contains the Digital Motor Control software modules. These component modules, implemented in IQ Math, are used to construct the systems such as Sensored/Sensorless Control. Download this
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity control is
port
(
clk1024,clk500,sa,sb,sc,en: in std_logic;
q1 : in std_logic_vector(7 downto 0);
q2
Project Information f:\jlh\cotrol\control.rpt
MAX+plus II Compiler Report File
Version 10.0 9/14/2000
Compiled: 01/22/2005 22:19:12
Copyright (C) 1988-2000 Alt
#ifndef Control_H
#define Control_H
//------------------ C API for Control routine ---------------------
typedef struct {
short qVelRef; // Reference velocity
short qVdRef;