//: C05:Class.cpp
// From Thinking in C++, 2nd Edition
// Available at http://www.BruceEckel.com
// (c) Bruce Eckel 2000
// Copyright notice in Copyright.txt
// Similarity of struct and class
library ieee;
use ieee.std_logic_1164.all;
entity class is
port ( a : in std_logic_vector(23 downto 0);
b : out std_logic);
end;
architecture one of class is
begin
process(a)
begin
c
//: C05:Class.cpp
// From Thinking in C++, 2nd Edition
// Available at http://www.BruceEckel.com
// (c) Bruce Eckel 2000
// Copyright notice in Copyright.txt
// Similarity of struct and class