Analysis & Synthesis Status : Successful - Mon Apr 20 14:12:09 2009
Quartus II Version : 8.0 Build 215 05/29/2008 SJ Full Version
Revision Name : add
Top-level Entity Name : add
Family : MAX II
T
--
-- 两个三位二进制数的加法,结果由数码管显示
-- a: dial[2:0]
-- b: dial[5:3]
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY add IS
PORT
Flow report for add
Mon Apr 20 14:13:26 2009
Quartus II Version 8.0 Build 215 05/29/2008 SJ Full Version
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity add is port(
clk: in std_logic;--两个控制信号
clk1: in std_logic;
add: out std_logic_vector(7 downto 0));
end
package 客房管理;
import javax.swing.*;
import java.awt.event.*;
import java.sql.*;
public class Add extends JFrame implements ActionListener
{
/**
*
*/
private static final long seria