LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY add IS PORT (op1, op2 : in std_logic_vector(7 downto 0); result : out std_logic_vector(7 downto 0)
http://www.codebf.com/read/308960/13686311
// Add.cpp : Implementation of CAdd #include "stdafx.h" #include "ConnectionCom.h" #include "Add.h" ///////////////////////////////////////////////////////////////////////////// // CAdd ST
http://www.codebf.com/read/308789/13691983
HKCR { ConnectionCom.Add.1 = s 'Add Class' { CLSID = s '{630B3CD3-DDB1-43CE-AD2F-4F57DC54D5D0}' } ConnectionCom.Add = s 'Add Class' { CLSID = s '{630B3CD3-DDB1-43CE-AD2F-4F57DC54D5D0}
http://www.codebf.com/read/308789/13691985
A51 MACRO ASSEMBLER ADD 06/03/2006 16:45:56 PAGE 1 MACRO ASSEMBLER A51 V7.10 OBJECT MODULE PLACED IN ADD.OBJ ASSEMBLER INVO
http://www.codebf.com/read/304476/13793072
unsigned char Add(unsigned char i,unsigned char j,long a,long b,long c) {unsigned char k; k=i+j; return(k); }
http://www.codebf.com/read/304476/13793112
"ADD.c" BROWSE DEBUG OBJECTEXTEND SRC (.\ADD.SRC)
http://www.codebf.com/read/304476/13793122
"ADD.src" PR(.\ADD.ls1) EP DEBUG
http://www.codebf.com/read/304476/13793124
C51 COMPILER V7.50 ADD 06/03/2006 16:45:55 PAGE 1 C51 COMPILER V7.50, COMPILATION OF MODULE ADD OBJECT MODULE PLACED IN ADD
http://www.codebf.com/read/304476/13793126
; .\ADD.SRC generated from: ADD.c ; COMPILER INVOKED BY: ; e:\Keil\C51\BIN\C51.EXE ADD.c BROWSE DEBUG OBJECTEXTEND SRC(.\ADD.SRC) NAME ADD? ?PR?_Add?ADD SEGMENT CODE ?DT?_Ad
http://www.codebf.com/read/304476/13793130
A51 MACRO ASSEMBLER ADD 06/03/2006 15:35:16 PAGE 1 MACRO ASSEMBLER A51 V7.10 OBJECT MODULE PLACED IN ADD.OBJ ASSEMBLER INVO
http://www.codebf.com/read/304476/13793142
虫虫下载站 半导体技术网 电子研发网 源码地带 电源技术网 单片机技术网 医疗电子技术 嵌入式系统与单片机