37个经典的VHDL程序。有比较器、七段译码器、状态机等。

源代码在线查看: 8位相等比较器.txt

软件大小: 40 K
上传用户: dongjunxi126
关键词: VHDL 程序 比较器 状态
下载地址: 免注册下载 普通下载 VIP

相关代码

				-- 8-bit Identity Comparator
				-- uses 1993 std VHDL
				-- download from www.pld.com.cn & www.fpga.com.cn
				
				library IEEE;
				use IEEE.Std_logic_1164.all;
				entity HCT688 is  
				   port(Q, P : in std_logic_vector(7 downto 0);
				         GBAR : in std_logic; PEQ : out std_logic);
				end HCT688;
				
				architecture VER1 of HCT688 is
				begin
				   PEQ 				end VER1;
							

相关资源