在quartus开发环境下

源代码在线查看: add.vhd

软件大小: 31540 K
上传用户: dujibei
关键词: quartus 开发环境
下载地址: 免注册下载 普通下载 VIP

相关代码

				library ieee;
				use ieee.std_logic_1164.all;
				use ieee.std_logic_unsigned.all;
				entity add is
				port(a,b,ci:in std_logic;
				     s,co:out std_logic);
				end;
				architecture one of add is
				signal temp:std_logic_vector(1 downto 0);
				begin
				temp				s				co				end;			

相关资源