debussy中文使用手册

源代码在线查看: debussy.rc

软件大小: 1065 K
上传用户: SnowCat
关键词: debussy 使用手册
下载地址: 免注册下载 普通下载 VIP

相关代码

				@Debussy rc file Version 1.0				[*]				IfShowModule = {TRUE, FALSE} 				[Annotation]				3D_Active_Annotation = FALSE				[DisabledMessages]				version = 4.4.h7				[Editor]				editorName = Vi				[Emacs]				EmacsFont = "Clean 14"				EmacsBG = gray				EmacsFG = black				[FSM]				viewport = 65 336 387 479				SmartTips = TRUE				State-LineColor = ID_BLACK				State-LineWidth = 1				State-FillColor = ID_BLUE2				State-TextColor = ID_WHITE				Init_State-LineColor = ID_BLACK				Init_State-LineWidth = 2				Init_State-FillColor = ID_YELLOW2				Init_State-TextColor = ID_BLACK				Trap_State-LineColor = ID_RED2				Trap_State-LineWidth = 2				Trap_State-FillColor = ID_CYAN5				Trap_State-TextColor = ID_RED2				State_Action-LineColor = ID_BLACK				State_Action-LineWidth = 1				State_Action-FillColor = ID_WHITE				State_Action-TextColor = ID_BLACK				Junction-LineColor = ID_BLACK				Junction-LineWidth = 1				Junction-FillColor = ID_GREEN2				Junction-TextColor = ID_BLACK				Connection-LineColor = ID_BLACK				Connection-LineWidth = 1				Connection-FillColor = ID_GRAY5				Connection-TextColor = ID_BLACK				Transition-LineColor = ID_BLACK				Transition-LineWidth = 1				Transition-FillColor = ID_WHITE				Transition-TextColor = ID_BLACK				Trans_Condition-LineColor = ID_BLACK				Trans_Condition-LineWidth = 1				Trans_Condition-FillColor = ID_WHITE				Trans_Condition-TextColor = ID_ORANGE2				Trans_Action-LineColor = ID_BLACK				Trans_Action-LineWidth = 1				Trans_Action-FillColor = ID_WHITE				Trans_Action-TextColor = ID_GREEN2				SelectedSet-LineColor = ID_RED2				SelectedSet-LineWidth = 1				SelectedSet-FillColor = ID_RED2				SelectedSet-TextColor = ID_WHITE				HilightSet-LineColor = ID_RED5				HilightSet-LineWidth = 1				HilightSet-FillColor = ID_RED7				HilightSet-TextColor = ID_BLUE5				WndBk-FillColor = Gray3				Background-FillColor = ID_GRAY5				Selection-LineColor = ID_GRAY5				Selection-LineWidth = 1				prefKey_Link-LineColor = ID_GRAY5				prefKey_Link-LineWidth = 1				prefKey_Link-FillColor = yellow4				prefKey_Link-TextColor = black				prefKey_Port-LineColor = ID_BLACK				prefKey_Port-LineWidth = 1				prefKey_Port-FillColor = ID_ORANGE6				prefKey_Port-TextColor = ID_YELLOW2				Trap = red3				Hilight = blue4				Window = Gray3				Selected = white				Trans. = green2				State = black				Init. = black				StickSet-LineColor = ID_ORANGE5				StickSet-LineWidth = 1				StickSet-FillColor = ID_PURPLE6				StickSet-TextColor = ID_BLACK				StopAskBkgndColor = FALSE				ControlPoint-LineColor = ID_BLACK				ControlPoint-LineWidth = 1				ControlPoint-FillColor = ID_WHITE				[FSM_Dlg-Print]				Orientation = Landscape         				[Form]				progressbar.fm = 165,425,371,123				debussyAbout.fm = 100,100,573,125				wave/waveTopWin.fm = 99,478,958,361				wave/openWFile.fm = 150,350,723,535				hb/vhdlSetTop.fm = 105,125,200,605				wave/getSignal.fm = 100,100,781,561				hb/preference.fm = 91,421,650,383				wave/sigType.fm = 100,100,300,170				wave/sigCPL.fm = 105,125,219,333				wave/searchValue.fm = 105,125,276,133				wave/searchglitch.fm = 105,125,450,113				wave/fftInput.fm = 100,100,381,453				wave/fftTop.fm = 235,100,864,720				textMacroCmd.fm = 249,87,518,172				openfile.fm = 115,175,723,535				hb/importSDF.fm = 115,175,660,464				text/textViewTop.fm = 567,224,451,502				wave/expression.fm = 312,112,790,416				hb/libMap.fm = 105,125,520,305				hb/importOptions.fm = 105,125,511,253				hb/importDsg.fm = 105,125,667,670				fsm/fsmObjProp.fm = 110,150,396,501				fsm/fsmDsgWnd.fm = 74,383,387,479				sch/schTopWin.fm = 238,247,1001,329				textSearchInPrj.fm = 125,225,333,210				hb/loadFile.fm = 105,125,723,535				nmemGetVar.fm = 105,125,280,208				wave/ActiveFanIn.fm = 115,175,390,178				schTracePath.fm = 148,230,454,473				hb/fileWithNote.fm = 105,125,501,538				nmemTop.fm = 354,227,556,425				schLoadSym.fm = 180,252,377,189				wave/fileTmScale.fm = 100,100,301,103				equivSignal.fm = 105,125,613,445				[General]				DblClick = 250				cmd_enter_form = FALSE				[HB]				ViewSchematic = FALSE				windowLayout = 94 104 998 584 182 427 998 107				import_filter = *.v; *.vc; *.f				designTreeFont = -adobe-helvetica-medium-r-normal--14-*-75-75-*-*-iso8859-*				import_filter_vhdl = *.vhd; *.vhdl; *.f				import_default_language = Verilog				import_filter_verilog = *.v; *.vc; *.f				simulation_file_type = *.*				import_from = source				[OtherEditor]				cmd1 = "xterm -font 9x15 -fg black -bg gray -e"				name = "vi"				options = "+${CurLine} ${CurFileName}"				[Print]				PrinterName = lp				FileName = test.ps				PaperSize = A4 - 210x297 (mm) 				ColorPrint = FALSE				PostscriptLevel2 = FALSE				[Session Cache]				1 = activetrace.ses				2 = string (session file name)				3 = string (session file name)				4 = string (session file name)				5 = string (session file name)				[Simulation]				xlPath = verilog				ncPath = ncsim				ncOption = -f ncsim.args				vcsPath = simv				mtiPath = vsim				lfPath = sv				vhncPath = ncsim				vhncOption = -log debussy.nc.log 				interactiveDebugging = FALSE				KeepBreakPoints = FALSE				simType = xl				thirdpartyIdx = -1				iscCmdSep = FALSE				[SourceVHDL]				vhSimType = ModelSim				[Text]				hdlComment = ID_GRAY1				hdlKeyword = ID_BLUE5				hdlEntity = ID_BLACK				hdlEntityInst = ID_BLACK				hdlSignal = ID_RED2				hdlInSignal = ID_RED2				hdlOutSignal = ID_RED2				hdlInOutSignal = ID_RED2				hdlOperator = ID_BLACK				hdlMinus = ID_BLACK				hdlSymbol = ID_BLACK				hdlString = ID_BLACK				hdlNumberBase = ID_BLACK				hdlNumber = ID_BLACK				hdlLiteral = ID_BLACK				hdlIdentifier = ID_BLACK				hdlSystemTask = ID_BLACK				hdlParameter = ID_BLACK				hdlIncFile = ID_BLACK				hdlDataFile = ID_BLACK				hdlCDSkipIf = ID_GRAY1				hdlMacro = ID_BLACK				textBackground = ID_GRAY5				textHiliteBK = ID_BLUE5				textHiliteText = ID_WHITE				textTracedMark = ID_GREEN2				textLineNo = ID_BLACK				textUserKeyword = ID_GREEN2				textAnnotText = ID_BLACK				textAnnotTextShadow = ID_WHITE				text3DLight = ID_WHITE				text3DShadow = ID_BLACK				irOutline = ID_RED5				irDriver = ID_YELLOW5				irLoad = ID_BLACK				irBookMark = ID_CYAN5				irIndicator = ID_WHITE				irBreakpoint = ID_GREEN5				irCurLine = ID_BLUE5				font = SMALL12				hdlVhEntity = ID_BLACK				hdlArchitecture = ID_BLACK				hdlPackage = ID_BLUE5				hdlAlias = ID_BLUE5				hdlGeneric = ID_BLUE5				hdlTypeName = blue4				hdlLibrary = blue4				viewport = 558 177 451 502				hdlPlainText = ID_BLACK				textParaAnnotText = ID_BLACK				Tab = 8				[TextPrinter]				Orientation = Landscape				Indicator = FALSE				LineNum = TRUE				FontSize = 7				Column = 2				Annotation = TRUE				[Texteditor]				TexteditorFont = "Clean 14"				TexteditorBG = gray				TexteditorFG = black				[ThirdParty]				ThirdPartySimTool = axis surefire				[TurboEditor]				autoBackup = TRUE				[UserButton.lf]				Button1 = "Dump All Signals" "call fsdbDumpvars\n"				Button2 = "Next 1000 Time" "run 1000 ps\n"				Button3 = "Next ? Time" "run ${Arg:Next Time} ps\n"				Button4 = "Show Variables" "value ${SelVars}\n"				Button5 = "Force Variable" "set force ${SelVar}=${Arg:New Value} -freeze\n"				Button6 = "Release Variable" "delete force ${SelVar}\n"				[UserButton.mti]				Button1 = "Dump All Signals" "fsdbDumpvars\n"				Button2 = "Next 1000 Time" "run 1000\n"				Button3 = "Next ? Time" "run ${Arg:Next Time}\n"				Button4 = "Show Variables" "exa ${SelVars}\n"				Button5 = "Force Variable" "force -freeze ${SelVar} ${Arg:New Value} 0\n"				Button6 = "Release Variable" "noforce ${SelVar}\n"				Button7 = "Deposit Variable" "force -deposit ${SelVar} ${Arg:New Value} 0\n"				[UserButton.nc]				Button1 = "Dump All Signals" "call fsdbDumpvars\n"				Button2 = "Next 1000 Time" "run 1000 -relative\n"				Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n"				Button4 = "Run Next" "run -next\n"				Button5 = "Run Step" "run -step\n"				Button6 = "Run Return" "run -return\n"				Button7 = "Show Variables" "value ${NCSelVars}\n"				[UserButton.xl]				Button13 = "Dump Off" "$fsdbDumpoff;\n"				Button12 = "Dump On" "$fsdbDumpon;\n"				Button11 = "Delete Focus" "$db_deletefocus(${treeSelScope});\n"				Button10 = "Set Focus" "$db_setfocus(${treeSelScope});\n"				Button9 = "Deposit Variable" "$deposit(${SelVar},${Arg:New Value});\n"				Button8 = "Release Variable" "release ${SelVar};\n"				Button7 = "Force Variable" "force ${SelVar} = ${Arg:New Value};\n"				Button6 = "Show Variables" "$showvars(${SelVars});\n"				Button5 = "Next ? Event" "$db_step(${Arg:Next Event});\n"				Button4 = "Next Event" "$db_step(1);\n"				Button3 = "Next ? Time" "#${Arg:Next Time} $stop;.\n"				Button2 = "Next 1000 Time" "#1000 $stop;.\n"				Button1 = "Dump All Signals" "$fsdbDumpvars;\n"				[VHDL_libraries]				work = ./work				[Vi]				ViFont = "Clean 14"				ViBG = gray				ViFG = black				[axis]				TPLanguage = Verilog				TPName = Axis				TPPath = vlg				AddImportArgument = FALSE				LineBreakWithScope = TRUE				StopAfterCompileOption = -s				[imp]				options = NULL				libPath = NULL				libDir = NULL				[schematics]				viewport = 89 468 1001 329				schBackgroundColor = ID_BLACK lineSolid				schBodyColor = ID_ORANGE6 lineSolid				schAsmBodyColor = ID_BLUE7 lineSolid				schPortColor = ID_ORANGE6 lineSolid				schCellNameColor = ID_CYAN5 lineSolid				schCLKNetColor = ID_RED6 lineSolid				schPWRNetColor = ID_RED5 lineSolid				schGNDNetColor = ID_CYAN5 lineSolid				schSIGNetColor = ID_GREEN8 lineSolid				schHilightColor = ID_WHITE lineSolid				schTraceColor = ID_YELLOW5 lineSolid				schBackAnnotateColor = ID_WHITE lineSolid				schValue0 = ID_YELLOW5 lineSolid				schValue1 = ID_GREEN2 lineSolid				schValueX = ID_RED5 lineSolid				schValueZ = ID_PURPLE7 lineSolid				dimColor = ID_CYAN2 lineSolid				schPreSelColor = ID_GREEN5 lineDash				schSIGBusNetColor = Gray4 lineSolid				schGNDBusNetColor = cyan4 lineSolid				schPWRBusNetColor = red4 lineSolid				schCLKBusNetColor = red6 lineSolid				schEdgeSensitiveColor = yellow4 lineSolid				schAnnotColor = cyan4 lineSolid				schInstNameColor = orange6 lineSolid				schPortNameColor = cyan4 lineSolid				schAsmLatchColor = cyan4 lineSolid				schAsmRegColor = cyan4 lineSolid				schAsmTriColor = cyan4 lineSolid				pre_select = True				display_port_name = False				display_pin_name = False				display_instance_name = False				display_local_net_name = False				display_back_annotation = False				display_back_annotation_in_Line = False				display_param_list = False				auto_fit_select_set = False				show_full_name = False				display_short_name = True				append_view_obj = True				cmdToolbar = True				msgToolbar = True				schTipBackColor = ID_GRAY6 lineSolid				schRubberBandColor = ID_YELLOW5 lineSolid				toolbar = True				msgLine = True				portName = False				pinName = False				instName = False				localNetName = False				parameterList = False				highContrastMode = False				annotate = False				annotateInColor = False				annotateLeadingZeros = False				autoFit = False				stopOnModuleBoundary = False				stopOnFSM = True				shortName = True				DetailRTL = True				DetailMux = True				DetailLevel = 4				RecogFSM = True				ExpandGenBlock = True				sdfDelayType = Typical				sdfDelayPrecision = 0.01				[schematics_print]				Signature = FALSE				DesignName = PCU				DesignerName = bai				SignatureLocation = LowerRight				MultiPage = TRUE				AutoSliver = FALSE				[surefire]				TPLanguage = Verilog				TPName = SureFire				TPPath = verilog				AddImportArgument = TRUE				LineBreakWithScope = TRUE				StopAfterCompileOption = -tcl				[turboSchema_Printer_Options]				Orientation = Landscape         				[wave.0]				viewPort = 70 407 958 361 98 63				[wave.1]				viewPort = 239 485 958 361 106 71				[wave.2]				viewPort = 38 314 686 205 100 65				[wave.3]				viewPort = 63 63 700 400 65 41				[wave.4]				viewPort = 84 84 700 400 65 41				[wave.5]				viewPort = 92 105 700 400 65 41				[wave.6]				viewPort = 0 0 700 400 65 41				[wave.7]				viewPort = 21 21 700 400 65 41							

相关资源